www.881aa.cn-久久香蕉综合色一综合色88,无遮挡国产高潮视频免费观看,992TV亚洲午夜在线观看,国产精品久久久久久福利69堂

  • <strike id="wgoyq"></strike>
  • <ul id="wgoyq"><tfoot id="wgoyq"></tfoot></ul>
    • <ul id="wgoyq"><dfn id="wgoyq"></dfn></ul>
      設(shè)為首頁 | 加入收藏 | 網(wǎng)站地圖
      產(chǎn)品分類
      當(dāng)前位置:首頁 > 產(chǎn)品展示 > 普教系列 > 實(shí)驗(yàn)箱.實(shí)驗(yàn)儀 > 實(shí)驗(yàn)箱.實(shí)驗(yàn)儀

      LG-JH5001-4型 通信教學(xué)實(shí)驗(yàn)系統(tǒng)

      品牌:理工偉業(yè) 咨詢電話:010-82827827 82827835

      一、概述
             經(jīng)過充分的市場調(diào)研和技術(shù)分析,在保留公司原有產(chǎn)品LG-JH5001-3通信原理實(shí)驗(yàn)系統(tǒng)特點(diǎn)的基礎(chǔ)上,推出了LG-JH5001-4型通信原理實(shí)驗(yàn)系統(tǒng),本系統(tǒng)根據(jù)當(dāng)前各大專院校通信原理課程的教學(xué)重點(diǎn),以現(xiàn)代數(shù)字傳輸技術(shù)和軟件無線電技術(shù)為主要實(shí)驗(yàn)方向,強(qiáng)化了有關(guān)模擬信號的數(shù)字化、各類數(shù)字信號的復(fù)接與解復(fù)接、信源及信道編解碼、信息的數(shù)字化調(diào)制與解調(diào)、模擬與數(shù)字鎖相等內(nèi)容。為突出重點(diǎn),集中利用有限的軟硬件資源,須對原LG-JH5001-3系統(tǒng)的設(shè)計進(jìn)行合理的取舍,具體做法是進(jìn)一步強(qiáng)化了軟件無線電技術(shù),通過FPGA與DSP的協(xié)同工作進(jìn)行雙向信號的編解碼處理、信息的數(shù)字化調(diào)制與解調(diào)處理,而舍棄了電話接口電路、電話交換模塊等非關(guān)鍵的技術(shù)內(nèi)容。實(shí)驗(yàn)中必需的雙向信源則采用可任意改變信號頻率、信號幅度的內(nèi)置函數(shù)信號發(fā)生器以及數(shù)字碼型發(fā)生器代替,通過雙蹤示波器或誤碼檢測儀等設(shè)備觀察傳輸效果。同時,本系統(tǒng)又繼承了現(xiàn)代通信技術(shù)創(chuàng)新實(shí)驗(yàn)系統(tǒng)的模塊化設(shè)計特點(diǎn),我公司為其配套的共有9個基本功能模塊模塊,基本電路設(shè)計合理,信源編碼包括PAM、ADPAM、PCM、CVSD等,信道編碼包括HDB3碼、CMI碼等;調(diào)制解調(diào)方式涵蓋FSK、BPSK、DBPSK、QPSK、DQPSK、OQPSK、MSK、GMSK、π/4QPSK等;另有模擬調(diào)制解調(diào)模塊(AM、FM)與模擬及數(shù)字鎖相環(huán)等,可配合信號源模塊獨(dú)立地進(jìn)行相關(guān)實(shí)驗(yàn)。
             本系統(tǒng)的先進(jìn)設(shè)計理念使基本模塊可任意拆卸,教師可根據(jù)課程需要任意靈活組合電路,構(gòu)建不同的通信系統(tǒng)。今后還將陸續(xù)提供可選擴(kuò)展模塊漢明糾錯編解碼模塊、卷積編解碼模塊、CDMA原理性擴(kuò)頻解擴(kuò)模塊、OFDM調(diào)制解調(diào)模塊等,使系統(tǒng)功能不斷擴(kuò)展完善。9個功能模塊可組成完整的無線通信子系統(tǒng)和有線通信子系統(tǒng),通過系統(tǒng)實(shí)驗(yàn)使學(xué)生熟悉現(xiàn)代通信系統(tǒng)的組成,并對通信系統(tǒng)中*新的關(guān)鍵技術(shù)有更深的了解。
             模塊化設(shè)計還便于產(chǎn)品維護(hù)。設(shè)備出現(xiàn)故障或系統(tǒng)升級僅需現(xiàn)場或郵寄更換個別相關(guān)模塊,一般不會影響系統(tǒng)設(shè)備中其它部件的正常使用。
             系統(tǒng)多處采用FPGA,F(xiàn)PGA與DSP均預(yù)留開放的配置接口,可供師生進(jìn)行大量的軟件二次開發(fā),同時也便于系統(tǒng)升級。因此,系統(tǒng)除了用于通信原理課程的實(shí)驗(yàn),還可以作為一個開放的硬件平臺,用于包括FPGA、DSP、單片機(jī)編程在內(nèi)的各類課程設(shè)計和畢業(yè)設(shè)計。
      二、電路硬件平臺的基本組成
             LG-JH5001-4型 現(xiàn)代通信技術(shù)創(chuàng)新實(shí)驗(yàn)系統(tǒng)由函數(shù)信號源模塊、PCM/PAM模塊、復(fù)接/解復(fù)接模塊、線路編/解碼及鎖相環(huán)模塊、CVSD編/解碼模塊、FPGA與DSP初始化模塊、數(shù)字信號處理模塊、AD/DA與調(diào)制/解調(diào)模塊以及顯示控制模塊(人機(jī)界面)等9個基本功能模塊組成,學(xué)生通過自行連接信號線貫通各基本模塊,構(gòu)建完整的通信系統(tǒng),檢驗(yàn)和調(diào)整各個關(guān)鍵點(diǎn)的信號,可大大加深對現(xiàn)代通信系統(tǒng)概念和結(jié)構(gòu)的理解。
      在本系統(tǒng)中,包含兩套不對稱的傳輸信道,這樣做的目的是為了盡可能多的涵蓋通信傳輸系統(tǒng)各方面的技術(shù):
      (1)主要體現(xiàn)無線信道傳輸技術(shù)的傳輸信道,信號流程為:模擬函數(shù)信號源→CVSD話音編碼(或誤碼儀的碼型信號發(fā)生器)→數(shù)字調(diào)制→信道→數(shù)字解調(diào)→CVSD話音譯碼→示波器顯示(或誤碼儀的誤碼檢測器)。
      (2)主要體現(xiàn)有線信道傳輸技術(shù)的信號支路,信號流程為:模擬函數(shù)信號源→PCM話音編碼→信道復(fù)接→線路編碼(HDB3/CMI)→線路譯碼→信道解復(fù)接→PCM話音譯碼→示波器顯示。
      函數(shù)信號源模塊輸出正弦波和方波,TPAO1S、TPAO2S分別為輸出端口,VS102調(diào)節(jié)方波輸出大小,調(diào)節(jié)范圍:0~5V。VS103調(diào)節(jié)正弦波輸出大小,調(diào)節(jié)范圍:0~5V;信號輸出有高低兩個頻段:JS01跳線插入、JS02跳線不插輸出高頻信號,輸出信號頻率范圍20KHz~350KHz;JS01跳線不插、JS02跳線插入,輸出低頻信號,輸出信號頻率范圍300Hz~2KHz。
      三、通信原理實(shí)驗(yàn)內(nèi)容:
      PAM信源編/譯碼實(shí)驗(yàn)
      PCM信源編/譯碼實(shí)驗(yàn)
      ADPCM信源編/譯碼實(shí)驗(yàn)
      幀成形與幀傳輸實(shí)驗(yàn)
      CVSD信源編/譯碼實(shí)驗(yàn)
      AMI/HDB3線路碼型變換原理實(shí)驗(yàn)
      HDB3線路編碼通信系統(tǒng)綜合實(shí)驗(yàn)
      CMI碼型變換原理實(shí)驗(yàn)
      CMI線路編碼通信系統(tǒng)綜合實(shí)驗(yàn)
      漢明糾錯編/譯碼原理實(shí)驗(yàn)(正在開發(fā))
      AM-FM調(diào)制/解調(diào)原理實(shí)驗(yàn)(正在開發(fā))
      二進(jìn)頻移鍵控FSK傳輸系統(tǒng)調(diào)制、解調(diào)實(shí)驗(yàn)及系統(tǒng)性能測試         
      二進(jìn)相移鍵控BPSK傳輸系統(tǒng)調(diào)制、解調(diào)實(shí)驗(yàn)及系統(tǒng)性能測試
      差分二進(jìn)制相移鍵控傳輸DBPSK系統(tǒng)的調(diào)制、解調(diào)實(shí)驗(yàn)
      四相相移鍵控QPSK傳輸系統(tǒng)的調(diào)制、解調(diào)實(shí)驗(yàn)
      差分四相相移鍵控DQPSK傳輸系統(tǒng)的調(diào)制、解調(diào)實(shí)驗(yàn)
      四相交錯相移鍵控OQPSK傳輸系統(tǒng)的調(diào)制、解調(diào)實(shí)驗(yàn)
      *小頻移鍵控MSK傳輸系統(tǒng)的調(diào)制、解調(diào)實(shí)驗(yàn)
      高斯*小頻移鍵控傳輸GMSK系統(tǒng)的調(diào)制、解調(diào)實(shí)驗(yàn)
      π/4差分四相相移鍵控π/4DQPSK傳輸系統(tǒng)調(diào)制、解調(diào)實(shí)驗(yàn)
      模擬鎖相環(huán)載波同步實(shí)驗(yàn)
      模擬鎖相環(huán)時鐘提取實(shí)驗(yàn)
      數(shù)字鎖相環(huán)位同步實(shí)驗(yàn)
      幀同步提取系統(tǒng)實(shí)驗(yàn)
      RS422平衡數(shù)字傳輸接口實(shí)驗(yàn)
      通過FPGA或DSP的預(yù)留編程配置接口進(jìn)行二次開發(fā),內(nèi)容主要包括:
      ⑴顯示控制模塊CPU(89C51系列)鍵盤掃描程序編制實(shí)驗(yàn)
      ⑵CPU驅(qū)動液晶顯示器的應(yīng)用實(shí)驗(yàn)
      ⑶顯示控制模塊中用戶操作界面的編程實(shí)驗(yàn)
      ⑷通過JTAG接口對DSP編程進(jìn)行DSP信號處理實(shí)驗(yàn)
      ⑸通過JTAG接口對FPGA編程進(jìn)行DDS波形生成實(shí)驗(yàn)
      ⑹通過JTAG接口對FPGA編程實(shí)現(xiàn)幀成形實(shí)驗(yàn)
      ⑺通過JTAG接口對FPGA編程實(shí)現(xiàn)幀同步實(shí)驗(yàn)
      ⑻通過JTAG接口對FPGA、DSP進(jìn)行綜合編程實(shí)現(xiàn)AM(有能力還可延伸到QAM、CDMA等)調(diào)制/解調(diào)實(shí)驗(yàn)
      關(guān)鍵詞: 數(shù)控車床 實(shí)訓(xùn)設(shè)備 實(shí)訓(xùn)裝置 教學(xué)儀器 教學(xué)設(shè)備 實(shí)驗(yàn)設(shè)備 實(shí)訓(xùn)臺 考核裝置 電工電子 實(shí)驗(yàn)裝置 數(shù)控技術(shù) 實(shí)訓(xùn)考核 技能實(shí)訓(xùn) 數(shù)控系統(tǒng) 電氣控制 實(shí)訓(xùn)鑒定 自控實(shí)訓(xùn) 數(shù)控軟件 實(shí)驗(yàn)系統(tǒng) 數(shù)控實(shí)訓(xùn) 電氣技術(shù) 數(shù)控設(shè)備 數(shù)控銑床 高教設(shè)備 教學(xué)車床 職教設(shè)備 PLC控制 PLC技術(shù) PLC實(shí)驗(yàn) 變頻調(diào)速
      北京智控理工偉業(yè)科教設(shè)備有限公司專業(yè)生產(chǎn):實(shí)訓(xùn)考核裝置|教學(xué)設(shè)備|教學(xué)儀器|高教職教實(shí)驗(yàn)室設(shè)備|教學(xué)模型|教學(xué)實(shí)訓(xùn)平臺|實(shí)訓(xùn)基地建設(shè)||機(jī)電數(shù)控實(shí)訓(xùn)設(shè)備
      Copyright(C)2012 北京智控理工偉業(yè)科教設(shè)備有限公司 電話:010-82827827 82827835 | 傳真:010-68948559
      E-mail:Ligong99@163.com 京ICP備09023089號-3

      男女夜晚污污18禁免费| 国产午夜视频在线观看| 无码人妻少妇色欲AV一区二区| 国产精品福利电影一区二区三区四区| 午夜福利| 国产精品久久久久久久9999| 精品曰韩av专区一区二区| 亚洲精品国产综合麻豆久久99| 国产精品一区12p| 国内精品国产成人国产三级|